/tree-sitter-vhdl

A VHDL parser for syntax highlighting.

Primary LanguageVHDLMIT LicenseMIT

Issues