/PWM-Shift-Register

To design and implement an 8-bit shift register compatible with PWM Outputs using Verilog HDL

Primary LanguageVerilog

No issues in this repository yet.