/ALU

ALU created in VHDL

Primary LanguageVHDL

ALU

Description

In computing, an arithmetic logic unit (ALU) is a combinational digital circuit that performs arithmetic and bitwise operations on integer binary numbers.

alt text

Different operation as carried out by ALU can be categorized as follows:

  • logical operations − These include operations like AND, OR, NOT, XOR, NOR, NAND, etc.

  • Bit-Shifting Operations − This pertains to shifting the positions of the bits by a certain number of places either towards the right or left, which is considered a multiplication or division operations.

  • Arithmetic operations − This refers to bit addition and subtraction. Although multiplication and division are sometimes used, these operations are more expensive to make. Multiplication and subtraction can also be done by repetitive additions and subtractions respectively.

Reference

Reference2