zachjs/sv2v

newest iverilog crashes when running tests

Closed this issue · 4 comments

make test fails:
There are warnings as described in #241 and some tests fails (the standard output log).

zachjs commented

I pushed e194868 to a temporary branch upgrade-iverilog. It should address the incompatibilities with newer versions of iverilog. At least one test still fails with the latest version of iverilog due to steveicarus/iverilog#927. Does this work for you?

I used the iverilog commit used by CI both before the change and after and both work.
Maybe a sentence about iverilog verision might be worth adding in the README.
I guess this issue will be solved when the one in iverilog will.

zachjs commented

I merged these changes into master and removed the temporary branch. I will update the title to reflect the remaining work: I should bump the iverilog version further once crash is fixed.

zachjs commented

The iverilog issue is now fixed. I have bumped the iverilog version and confirmed all tests pass. Thank you for pointing this issue out to me!