VUnit/vunit

Can't seem to run Vopt separately in Vunit. This is needed for new workflow with Questa Visualizer.

imsahan opened this issue · 4 comments

I want to run Vunit with Questa Visualizer. To invoke visualizer, you need to run Vopt first and then Vsim. I don't see a way to do this with Vunit. Is there a fix that can be made to allow calling Vopt separately?

See pictures below for more details on Visualizer:
1
2

@imsahan I think this issue is covered by #899. Agree?

Ah yes that is correct. Should I close my issue?

Yes, let's close this.

Do you have any timeline on when/if you will work on this?