fusesoc
There are 30 repositories under fusesoc topic.
chipsalliance/Cores-VeeR-EH1
VeeR EH1 core
chipsalliance/VeeRwolf
FuseSoC-based SoC for VeeR EH1 and EL2
chipsalliance/Cores-VeeR-EL2
VeeR EL2 Core
m-kru/fsva
FuseSoc Verification Automation
sifferman/fusesoc_template
Example of how to get started with olofk/fusesoc.
dpretet/meduram
Multi-port BRAM IP for ASIC and FPGA
sifferman/fpga_screensaver
This project implements the VGA protocol and allows custom images to be displayed to the screen using the Sipeed Tang Nano FPGA dev board.
ucsbieee/mapache64
Custom 6502 Video Game Console
sifferman/tangnano_example
Simple example of how to get started with the Tang Nano with FuseSoC.
librecores/docker-tools
Just a set of Dockerfiles and tools for FuseSoC
midimaster21b/spi-bfm
A quick SPI BFM to assist in SPI device testing and development
ucsbieee/arcade
6502 Arcade Machine by UCSB IEEE
midimaster21b/rtl-core-library
A set of common RTL cores that I've developed over time and organized into a FuseSoC library.
midimaster21b/i2c-bfm
A simple I2C BFM
sifferman/find_first_set
Find first set operation in Verilog-2001 with logarithmic complexity.
euripedesrocha/tbpp
A simple test library for verilator
Illusion-Graphics/RISC-16
16-bit RISC core
midimaster21b/SHA-Module
A simple SHA-256 implementation in VHDL and Verilog, simulated using a basic UVM testbench.
midimaster21b/uart-bfm
A simple UART BFM
sifferman/nes_controller_interface
NES Controller Interface written in Verilog-2005
sifferman/verilog_template
A template for starting a Verilog project with FuseSoC integration, Icarus simulation, Verilator linting, Yosys usage report, and VS Code syntax highlighting.
midimaster21b/amba-interfaces
A few quick interfaces for AMBA standards
midimaster21b/axis-bfm
A simple AXIS BFM
midimaster21b/spi-master
A simple SPI master
filmil/bazel_rules_fusesoc_2
Yet another attempt at bazel rules for fusesoc. This one relies on a hermetic installation of fusesoc and edalize, and not a containerized build. See https://github.com/filmil/bazel_rules_fusesoc for that other bit.
imuguruza/image_displayer
Design for iCE40H4XK for displaying images in a VGA dislay
josugoar/systemverilog-template
SystemVerilog FuseSoC template
midimaster21b/three-wire-spi-ad
Analog Digital Three Wire SPI