/icecream_sv

IceCream for SystemVerilog: Never use $display and `uvm_info to debug SystemVerilog again.

Primary LanguageSystemVerilogOtherNOASSERTION

No issues in this repository yet.