/RV32I_Single_Cycle

This repository contains the implementation of single cycle processor based on RISC-V ISA and implemented on "LOGISIM".

Primary LanguageVerilog

Issues