f4pga/f4pga-arch-defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Jupyter NotebookISC
Issues
- 0
A serious bug in artix7 - negedge clk not work
#3684 opened by lehaifeng000 - 1
Fix IBUFDS_GTE to GTP_COMMON connection
#2328 opened by acomodi - 0
linux-aarch64 Support
#3614 opened by Willmac16 - 8
- 0
IP Core's support
#3529 opened by gxman - 0
Packing maybe failed while using primitive oserdese
#3274 opened by the-centry - 2
How to write a new pb_model?
#2680 opened by the-centry - 2
- 0
what's the effect of ".subckt T_INV" in xc ?
#2748 opened by the-centry - 1
using different tools interchangeably
#3166 opened by mohammadshahidzade - 1
Add `xc7z020clg400-1` part to artifacts
#3058 opened by Asoftyn - 3
MMCM for Zynq
#2979 opened by darktjm - 6
symbiflow_route needs to fail when timing is not met
#2331 opened by tcal-x - 0
Move the guidelines to build example and load bitstream out from the Getting Started section
#2668 opened by umarcor - 1
- 3
"latest" files in GCS buckets no longer updated
#2655 opened by Xiretza - 2
Add `xc7z020clg484-1` part to artifacts
#2737 opened by wkkuna - 1
- 2
Xilinx vendor tests are failing on master?
#2324 opened by mithro - 0
Update tests in job 'install' to use 'f4pga build' instead of the shell wrappers
#2669 opened by umarcor - 4
Process of adding a new architecture
#2344 opened by apond308 - 0
README instructions incomplete
#2343 opened by jrrk2 - 3
Supporting Ultrascale+ is in plan?
#2620 opened by the-centry - 1
How to generate the rrg and arch.timing.xml basing database provided by RapidWright?
#2600 opened by the-centry - 6
- 2
- 3
VPR fails to place long carry chains on xc7
#2463 opened by kazkojima - 0
Missing utility to find symbiflow techmap/scripts path
#2373 opened by olofk - 4
- 6
arch-defs not downloading the latest version of prjxray-db when building
#2351 opened by MidsummerNight - 1
- 5
Check-status CI job is failing?
#2363 opened by mithro - 1
symbiflow_place exit with code 1
#2362 opened by fontamsoc - 0
vpr_io_place.py fails when no pcf file is provided
#2361 opened by mithro - 1
Delete utils/vpr_fixup_post_synth.py
#2358 opened by mithro - 1
qlpfpga-symbiflow-plugins submodule checkout error
#2338 opened by chmorgan - 1
- 0
patch is required but is not a dependency of this project
#2342 opened by jrrk2 - 0
Fix logic placement connected to IBUFDS_GTE clock
#2327 opened by acomodi - 14
- 7
Conda lock pull requests not running CI?
#2319 opened by mithro - 3
Missing Xilinx 7-series FPGA model: xc7a50tcsg324-1
#2305 opened by gergoerdi - 0
Conda lock pull requests not getting merged
#2320 opened by mithro - 8
- 1
Better documentation for adding and running tests
#2312 opened by WhiteNinjaZ - 2
Wrong in generate rr_graph.real.patched.bin
#2309 opened by szhou888 - 0
Block RAMs with big data width gives wrong results
#2304 opened by syed-ahmed - 0
- 0
Vendor Tools failing with RTRES-2
#2297 opened by acomodi - 0
How to generate bitstream targeting customized FPGA
#2247 opened by xtthu