Issues
- 1
- 0
- 0
Outdated Spyglass Backend (missing fields)
#444 opened by amal-araweelo - 0
- 0
flow_options are not checked
#441 opened by olofk - 0
Reporting module test reports issues with numpy.dsize
#440 opened by olofk - 1
- 0
- 1
- 0
Error using f4pga flow for Xilinx
#435 opened by carlosedp - 0
Passing Verilog string parameters with quotes
#430 opened by meiniKi - 4
Jinja2 issue witth edarool loader
#419 opened by CCCDDDEEEFFF - 0
- 0
- 0
- 0
Yosys: `configure_main` should check for "file_type" in fileset, or provide a default
#424 opened by Isweet - 3
- 4
- 1
Add update_compile_order to vivado workflow
#416 opened by andriami - 3
- 0
Vars do not survive Edaflow
#415 opened by psi-chuffine - 2
GHDL Support for cocotb simulation flow
#411 opened by psi-chuffine - 6
Testsuite fails intermittently
#368 opened by yurivict - 4
Simulating Vivado IPs (xci, bd)
#399 opened by adrianmrd - 0
explicit dependencies for vunit
#350 opened by nopeslide - 4
ImportError: cannot import name 'get_edatool' from 'edalize' (unknown location)
#379 opened by rafaelnp - 8
Efinix FPGA support
#378 opened by sebinho - 2
Support a Vivado IP-packaging flow
#393 opened by McSherry - 0
Modelsim missing in tools
#388 opened by garankonic - 5
filelist.py uses python 3.8 syntax
#374 opened by dbesse - 3
How best to fix filelist backend
#372 opened by shareefj - 1
Xcelium: file list
#381 opened by pantikov - 3
Why is the user guide html that I generated empty.
#376 opened by salmansheikh - 5
vivado xsim support for xci or xilinx ip
#344 opened by skokvermon - 8
Skipping bitstream generation in vivado
#370 opened by javValverde - 2
support for include file in yosys
#361 opened by bat52 - 1
gnu make on windows 10
#352 opened by bolzal - 1
Disabling yosys's synthesis
#365 opened by Henkru - 12
F4PGA/Symbiflow Backend
#323 opened by Pocketkid2 - 0
- 1
Support for Questasim
#356 opened by hossein1387 - 0
quartus qsys path seperator on windows 10
#351 opened by bolzal - 0
Vivado block design support is broken
#349 opened by shareefj - 1
- 1
usage of packages and tool classes
#340 opened by csquaredphd - 1
EDAM file: toplevel list of string
#343 opened by qarlosalberto - 0
- 1
- 6
- 4
vopt_options missing in modelsim.py
#315 opened by oholimoli